Welcome![Sign In][Sign Up]
Location:
Search - CRC FPGA

Search list

[Other resourcefast-crc.tar

Description: 一个verilog实现的crc校验,用于fpga实现,快速,准确有效
Platform: | Size: 1440278 | Author: 枫叶鹏 | Hits:

[CommunicationCRC

Description: FPGA实现差错控制编码技术,非常不错的一篇毕业论文,很详细,推荐
Platform: | Size: 431527 | Author: mediative | Hits:

[Crack Hackcrc

Description: 基于FPGA的crc设计,有一定的参考价值,写的比较详细
Platform: | Size: 18522 | Author: qlg | Hits:

[VHDL-FPGA-Verilogfpga 程序

Description: crc 校验
Platform: | Size: 31740 | Author: caogen | Hits:

[VHDL-FPGA-Verilog能综合的YCrCb2RGB模块(verilog)_采用3级流水线

Description: 能综合的YCrCb2RGB模块(verilog)_采用3级流水线,用fpga做小数运算,还有就是流水线技术 -can YCrCb2RGB integrated module (Verilog) _ used three lines, they simply do with fractional arithmetic, there is pipelining technology
Platform: | Size: 1024 | Author: 于飞 | Hits:

[VHDL-FPGA-VerilogCRC_module_of_FPGA

Description: 利用VHDL语言编写的一个crc功能模块,可下载到FPGA实现功能-use VHDL to prepare a crc function of the module, which can be downloaded to the FPGA functions
Platform: | Size: 3072 | Author: 黎飞飞 | Hits:

[Communication-Mobile8bitCRC

Description: 多项式为x^8+x^5+x^4+1的CRC(循环冗余校验码)的FPGA设计。-polynomial x 8 x ^ x ^ ^ 5 4 1 CRC (cyclic redundancy check code) FPGA design.
Platform: | Size: 1024 | Author: zhao | Hits:

[VHDL-FPGA-Verilogfast-crc.tar

Description: 一个verilog实现的crc校验,用于fpga实现,快速,准确有效-A Verilog realize the CRC checksum for the FPGA realization, rapid, accurate and effective
Platform: | Size: 1440768 | Author: 枫叶鹏 | Hits:

[VHDL-FPGA-Verilogpci_express_crc

Description: PCI express CRC rtl core for Fpga/asic Designer
Platform: | Size: 202752 | Author: 李晓媛 | Hits:

[VHDL-FPGA-Verilogultimate_crc.tar

Description: VHDL语言实现的CRC码程序,可用于FPGA实现-VHDL language procedures realize the CRC code can be used to realize FPGA
Platform: | Size: 114688 | Author: 陈楚龙 | Hits:

[Program docCRC

Description: FPGA实现差错控制编码技术,非常不错的一篇毕业论文,很详细,推荐-FPGA realization of error control coding techniques, a very good dissertation, I am very detailed and recommended
Platform: | Size: 431104 | Author: mediative | Hits:

[OtherCRC_Parallel_Computation_Implementation_on_FPGA.ra

Description: CRC校验码并行计算的FPGA实现,PDF打开-CRC Check Code FPGA realize parallel computing, PDF to open
Platform: | Size: 231424 | Author: pipi_dog | Hits:

[Crack Hackcrc

Description: 基于FPGA的crc设计,有一定的参考价值,写的比较详细-CRC FPGA-based design, has a certain reference value, a more detailed written
Platform: | Size: 18432 | Author: qlg | Hits:

[VHDL-FPGA-Verilogcrc_verilog_xilinx

Description: 这是一个在FPGA上实现CRC算法的程序,包含了CRC-8,CRC-12,CRC-16,CRC-CCIT,CRC-32一共五种校验形式。-err
Platform: | Size: 10240 | Author: 李奥运 | Hits:

[VHDL-FPGA-Verilogcrc_7GPGA

Description: 用FPGA实现CRC算法,只用一个脉冲就能实现,比传统的移位算法大大节约时间-Using FPGA to achieve CRC algorithm, only one pulse will be able to realize, than the traditional algorithm greatly saving time shift
Platform: | Size: 135168 | Author: 冯勇 | Hits:

[VHDL-FPGA-Verilogcrc

Description: CRC-16 VHDL Source Code
Platform: | Size: 164864 | Author: kobin | Hits:

[Software Engineeringstx_cookbook

Description: Altera公司高端FPGA高级综合指导手册,包括:算术运算单元,浮点处理技巧,数据编码格式转换,视频处理,仲裁逻辑,多路选择,存储逻辑,计数器,通信逻辑,循环冗余校验,随机和伪随机函数,加密和同步等编码风格和技巧;-advanced synthesis cookbook for Altera high-end FPGA(Stratix),incuding coding style and design tricks for arithmetic,floating points operation,tranlation and format convertion,vidio, arbitor, multiplexing, registers and memories,communication,CRC,random and pseudorandom functions,cryptography,synchronization,etc.
Platform: | Size: 962560 | Author: 刘易 | Hits:

[VHDL-FPGA-VerilogCRC32

Description: 基于FPGA平台的用verilogHDL设计的CRC32模块-a code for CRC32 based on FPGA by verilogHDL
Platform: | Size: 1024 | Author: Gevy | Hits:

[VHDL-FPGA-VerilogP12_CRC

Description: VHDL code for CRC algorithm
Platform: | Size: 3985408 | Author: parisanajafi | Hits:

[VHDL-FPGA-Verilogcrc8_8_serial

Description: 自己编写的高效8位输入串行CRC-8 ATM程序(an effective program for 8bit serial input CRC-8 ATM calculate.)
Platform: | Size: 50176 | Author: asmreg | Hits:
« 12 3 4 »

CodeBus www.codebus.net